Dear Reader,
Innovation, customer service, and strong partnerships are all vital factors during an industry downturn. Nikon recognizes this and has turned the recent challenging times into opportunities to better serve our customers with truly innovative solutions and world-class support. One of the solutions that Nikon developed is the NSR-S620D immersion scanner for double patterning, which is ideal for applications at 32 nm and beyond. The S620D (NA = 1.35) is the first scanner to combine inline metrology with a single exposure stage targeting 200 wafers per hour, and a hybrid control system to enable 2 nm overlay accuracy.

The S620D was highlighted at SPIE Advanced Lithography as well as LithoVision 2010. This year, Nikon was honored to have Kazuo Ushida, President of Nikon Precision, deliver the SPIE Plenary on the Future of Optical Lithography. The previous day, Nikon played host to 350 customers and industry partners at the seventh annual LithoVision technical symposium. The 2010 symposium featured invited experts from Samsung Electronics, Intel Corporation, Synopsys, and Nikon, as well as poster papers from many partner companies. Feedback from guests lauded the technical merit of the event and highlights of the LithoVision presentations are featured in this edition of the Nikon eReview, with full event materials available to customers upon request.

Nikon is also very pleased to once again be recognized by Intel as a Preferred Quality Supplier, our fifth award win in this prestigious evaluation and clear validation of the Nikon commitment to our customers. We highly value your input on how we can continue to improve our products and services, and encourage you to respond to the Nikon eReview (npicom@nikon.com) with your comments.

News

SPIE Plenary by Nikon President Addresses "The Future of Optical Lithography"

SPIE Plenary by Nikon President Addresses The Future of Optical Lithography

Kazuo Ushida, President of Nikon Precision, had the honor of delivering the introductory plenary presentation at SPIE Advanced Lithography 2010. Framing his presentation around issues for Yesterday, Tomorrow, and Today, Ushida provided insight on the challenges of next generation lithography candidates, and explained the Nikon view on viable and cost-effective solutions to extend double patterning.


Read more

Samsung and Nikon Experts Report on Lithography Technology and Future Trends

Samsung and Nikon Experts Report on Lithography Technology and Future Trends

Dr. Jeong-Ho Yeo, Principal Engineer at Samsung Electronics, and Masato Hamatani, GM of Nikon Stepper Development presented their views on the future of lithography at the LithoVision symposium held earlier this year. The industry experts reported on the technical challenges of double patterning, and highlighted the infrastructure and potential timing issues associated with EUVL.


Read more

LithoVision and SPIE Presentations Focus on Enabling 32 nm Production

LithoVision and SPIE Presentations Focus on Enabling 32 nm Production

Yuichi Shibazaki, Next-Generation Product Manager and Technical Director, provided an overview of the development and status of the Nikon solution to enable 32 nm production. Shinji Wakamoto, Manager of 2nd System Development, then provided further details on system performance at SPIE reporting that overlay accuracy < 2 nm, coupled with optimal focus and CD control, enable the S620D to satisfy aggressive 32 nm half-pitch requirements.


Read more

Nikon Fellow Discusses Means to Extend Immersion to 10 nm Half Pitch

Nikon Fellow Discusses Means to Extend Immersion to 10 nm Half Pitch

At the recent LithoVision symposium, Soichi Owa, Nikon Fellow and Technology Development Section Manager, presented his view on extending immersion lithography to the 10 nm half pitch for SRAM patterns using spacer quadrupling, as well as line cutting lithography solutions.


Read more

Intel Sr. Fellow Recommends Complementary Solutions for ArF Extension

Intel Sr. Fellow Recommends Complementary Solutions for ArF Extension

Presenting his view on ArF Extension for Critical Layer Patterning at LithoVision 2010, Yan Borodovsky, Intel Corporation Sr. Fellow and Director of Advanced Lithography, reported that 193 immersion will be extended for use in high volume manufacturing in 2011 and 2013. Furthermore, complementing 193i with techniques such as EUVL or EBDW will enable extension to 2015 logic manufacturing with minimized process cost and complexity.


Read more

Featured Article

A Simple Approach to Litho-Litho-Etch Processing Utilizing Novel Positive Tone Photoresists

A Simple Approach to Litho-Litho-Etch Processing Utilizing Novel Positive Tone Photoresists

Double patterning has become a strong candidate for 32 nm half-pitch lithography and beyond, with Litho-Etch-Litho-Etch (LELE) and Self-Align Double Patterning (SADP) processes being the main areas of focus. However, these processes have limitations and introduce significant cost challenges. To alleviate those issues, TOK has instead developed an uncomplicated LLE process utilizing a positive-positive photoresist approach.


Read more

Innovations and Enhancements

NSR Maintenance Streamlined With New WT Cleaning System

NSR Maintenance Streamlined With New WT Cleaning System

In our ongoing effort to reduce cost of ownership for customers, Nikon is continuously developing new system upgrades and enhancements, and recently released the Wafer Table (WT) Automatic Cleaner for NSR-Sx08 and Sx10 systems. This upgrade provides a highly effective solution that streamlines and simplifies the wafer holder cleaning process.


Read more

Nikon Happenings

Intel Names Nikon 2009 PQS Award Recipient

Nikon recently received Intel Corporation’s prestigious Preferred Quality Supplier (PQS) award for their performance in 2009, the fifth Nikon award win including an SCQI award in 2008. Nikon was recognized for their significant contributions and providing Intel with lithography scanners, deemed essential to Intel’s success.


Read more

Nikon Hosts Hundreds of Customers and Industry Partners at SPIE Events

At SPIE Advanced Lithography, Nikon places great value on the opportunity to meet and share the latest information of leading-edge lithography tools and technology with our customers and industry partners. We were extremely pleased to host several hundred guests at our various events held during SPIE.


Read more

Nikon Fellow Inducted as 2010 SPIE Fellow

Soichi Owa, Nikon Fellow and Technology Development Section Manager, was named a 2010 SPIE Fellow in recognition of his achievements in optical microlithography – in particular immersion lithography, as well as solid state lasers.


Read more