Nikon Precision | USA & Europe | Nikon Global Site

Category

Press Release
Tokyo, Japan, July 7, 2003 – Nikon Corporation today announced its agreement with Tokyo Electron Limited (TEL) to engage in joint development of liquid immersion exposure technology as it relates to exposure systems. Under the agreement, Nikon, with its leading-edge exposure technologies, and TEL, with its large market share for resist coater/developer and advanced technologies, will...
Belmont, Calif., May 12, 2003 – Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America announced on 12 May 2003 EDT, that it has filed a Notice of Appeal to the Court of Appeals for the Federal Circuit of the U.S. International Trade Commission...
BELMONT, Calif.–(BUSINESS WIRE)– May 2, 2003 — Nikon Precision Inc. (NPI), the North American lithography subsidiary of Nikon Corporation, Japan, announced today that Bernie Wood has joined the company as Director of Marketing for United States Operations. Wood comes to Nikon Precision after having held a number of executive positions of increasing responsibility for several semiconductor...
BELMONT, Calif. March 17, 2003 — Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, announced on 17 March, 2003 EST that the U.S. International Trade Commission (“ITC”) has decided not to review the Initial Determination made by an Administrative Law Judge on 29...
BELMONT, Calif. Effective March 1, 2003 — Nikon Corporation of Japan has promoted both Mr. Geoff Wild and Dr. Gil Varnell to CEO of their respective Nikon companies, NPI (Nikon Precision Inc.) and NRCA (Nikon Research Corporation of America) Both NRCA and NPI are headquartered in Belmont, CA. Geoff Wild came to NPI as President and...
Belmont, Calif., July 8, 2003 -Nikon Corporation launched its third new system in less than a week, the NSR-S207D. Targeted at 110 nm devices that are ramping into volume production, the system is the 7th generation of Nikon’s successful KrF scanner series. The system combines a high NA (0.82) projection lens with a newly developed, high...
BELMONT, Calif. and TOKYO, Japan–Jan. 29, 2003–Nikon’s corporate Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, announced on 29 January, 2003 EST that an Administrative Law Judge with the U.S. International Trade Commission (“ITC”) has issued his Initial Determination in the ITC...
BELMONT, Calif. and TOKYO, Japan–Aug. 26, 2002 – Nikon’s corporate objective is to provide the leading products to customers in the semiconductor industry through fair competition in the marketplace. Such fair competition is based on the premise that each competitor respects the intellectual property rights of the other. Because Nikon believes that ASML did not live...
Tokyo, Japan – Oct 18, 2002 – Nikon Corporation and its wholly-owned subsidiary located in Belmont, California, Nikon Precision Inc. (“Nikon”) have filed a patent infringement action against ASML Netherlands B.V., a Dutch corporation and ASM Lithography, Inc., a U.S. company (“ASML”) in the United States District Court for the Northern District of California, asserting infringement...
High Throughput Scan-Field Stepper Mix-and-Matches to DUV Scanners July 12, 2002 – To create the most cost-effective tool for sub-critical layers of next-generation DRAMs and MPUs, Nikon Corporation has developed a new i-line scan-field stepper, the NSR-SF120. The NSR-SF120 is designed to realize the superior cost performance of a mix-and-match strategy with Nikon DUV scanners, which...
1 4 5 6 7 8