Nikon Precision | USA & Europe | Nikon Global Site

Litho Booster

Litho Booster

Key Benefits

  • Standalone Alignment Station maximizes productivity and yield
  • Delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab
  • Utilizes absolute grid information to ensure optimal wafer/shot grid modeling
  • Minimizes wafer processing effects with mark asymmetry detection
  • Provides open platform for addition of further overlay, autofocus, and process control solutions
Alignment Stations

Key Benefits

  • Standalone Alignment Station maximizes productivity and yield
  • Delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab
  • Utilizes absolute grid information to ensure optimal wafer/shot grid modeling
  • Minimizes wafer processing effects with mark asymmetry detection
  • Provides open platform for addition of further overlay, autofocus, and process control solutions
Alignment Stations
Product Overview
Product Highlight

Standalone Alignment Station maximizes productivity and yield
The Nikon Litho Booster Standalone Alignment Station brings inline Alignment Station (iAS) capabilities to other scanners in the fab. With Litho Booster, shot-by-shot feed forward correction is possible, enabling compensation for processing effects including etching, annealing, CVD/PVD, and more. Whereas traditional process loops rely on feed back control, Litho Booster adds sophisticated feed forward correction capabilities. Litho Booster quickly executes super dense, ultra-precise measurements with superior reliability, and calculates high order and die-by-die grid term as well as shot term corrections. Prior to exposure, the scanner performs wafer global alignment using a sparse EGA sampling plan, and the high order Litho Booster correction terms are fed forward and combined with the scanner’s linear terms to produce the final linear, high order grid, and shot term alignment corrections.

Delivers industry-leading shot-by-shot feed forward corrections for any selected scanner in the fab
Litho Booster has great flexibility within the process control loop, and can be used with many generations of Nikon systems including S635E through S620D immersion scanners, S322F to S310F ArF scanners, S220D and S210D KrF scanners, and even SF155 steppers; as well as non-Nikon scanners. Depending on individual device manufacturer’s objectives, one Litho Booster system may be shared amongst multiple litho tools for less critical layers, or paired with a litho tool in critical applications, or multiple Litho Boosters could support a single litho tool to enable super-dense sampling for ultra-critical process layers.

Utilizes absolute grid information to ensure optimal wafer/shot grid modeling
Litho Booster maximizes productivity through iterative learning and feed back of grid results. Using dense measurements on the product wafer coupled with the absolute grid information from Litho Booster, the optimal grid model is determined. Various alignment modes are possible such as Standard, Plus Edge Dense (increased edge sites), Scrambled, and Plus Intra-shot (increased sites within-shot). This enables adaptability for a variety of types of wafer grid error. In addition, there are a number of correction modes including Linear, High-order Global, Local Area, and Die-by-Die correction methods that compensate for different types of wafer deformation.

Litho Booster has demonstrated exceptional on-product overlay (OPO) improvement capabilities. A study of wafers having four types of on-product underlayer wafer distortion signatures was evaluated using a traditional, sparse, 16 points/wafer alignment sampling plan, which demonstrated overlay Avg. + 3σ results across lot of x=2.85 and y=2.51 nm. The results were markedly improved to x=1.85 and y=1.63 nm using a dense 626 point Litho Booster sampling plan.

Minimizes wafer processing effects with mark asymmetry detection
Nikon is currently enhancing Litho Booster on-product overlay correction capabilities through the introduction of new functions enabling monitoring and compensation for process-induced mark fluctuations and asymmetry to further improve OPO performance. 

Provides open platform for addition of further overlay, autofocus, and process control solutions
Litho Booster also provides an open platform for expanded overlay, autofocus, and process control solutions to enhance future capabilities. Nikon combines superior scanner technology with innovative alignment solutions like Litho Booster to deliver exceptional manufacturing performance and productivity—now and for the future.