Nikon Precision | USA & Europe | Nikon Global Site

Press Releases

Press Releases

Advanced solution for critical layers, and supports 3D semiconductor device production Tokyo, Japan – December 6, 2023 – Nikon Corporation (Nikon) is pleased to announce the release of the NSR-S636E ArF immersion scanner, with system sales launching in January 2024. With the highest productivity of any lithography system across the impressive history of Nikon, the NSR-S636E...
Continue Reading
Supports a variety of semiconductor devices and integrates well with existing fab equipment and operations Tokyo, Japan – August 31, 2023 – Nikon Corporation is pleased to announce the release of the NSR-2205iL1 5x reduction i-line stepper, which was developed to manufacture a variety of devices including power and communications semiconductors, and Micro Electro Mechanical Systems...
Continue Reading
Enables batch inspection and measurement across entire 300 mm wafer area Tokyo, Japan – October 21, 2021 – Nikon Corporation (President: Toshikazu Umatate, Minato-ku, Tokyo) is pleased to announce the upcoming release of the AMI-5700 automatic macro inspection system, which performs batch inspection of 300 mm wafers with exceptional sensitivity and maximized productivity. Nikon automatic...
Continue Reading
Tokyo, Japan – October 18, 2021 – Nikon Corporation (Nikon) announced it is currently developing the next-generation NSR-S636E ArF immersion scanner, which will deliver superior overlay accuracy and ultra-high throughput to support manufacturing of the most critical semiconductor devices. Product sales are scheduled to begin in 2023. As the digital transformation (DX) accelerates, there is...
Continue Reading
Today, Intel is recognizing 35 suppliers for their exceptional commitment to quality in 2018. These suppliers have collaborated with Intel to implement innovative process improvements and serve with the highest level of integrity, while providing superior products and services. Intel has three levels of supplier recognition: the Supplier Continuous Quality Improvement (SCQI) award, the Preferred...
Continue Reading
Nikon Corporation (“Nikon”) today initiated a series of legal actions in the Netherlands, Germany and Japan intended to halt infringement of its intellectual property by Dutch semiconductor lithography system manufacturer ASML Holding N.V. and its related companies (“ASML”), and by ASML’s optical component supplier Carl Zeiss SMT GmbH (“Zeiss”). The basis of Nikon’s claim is...
Continue Reading
TOKYO – Nikon Corporation (Kazuo Ushida, President) will celebrate the 100th anniversary of its establishment on July 25th of this year. Since its establishment (as Nippon Kogaku K.K.) in 1917, Nikon has provided the world with unique value based on opto-electronics and precision technologies. We at Nikon sincerely thank all stakeholders such as customers for...
Continue Reading
Tokyo, Japan — February 18, 2016 — Nikon Corporation introduces the NSR-S631E ArF immersion scanner, ensuring world-class device patterning and optimum fab productivity to fully satisfy real-world 7 nm node requirements. The S631E builds upon industry-leading Streamlign platform technology to further extend crucial 193 nm immersion lithography. Scanner stage and alignment system innovations enable mix-and-match overlay to 2.3...
Continue Reading
Nikon Corporation (Kazuo Ushida, President) announced the world’s first ever 450 mm immersion scanner, the Nikon NSR-S650D, has been installed at SUNY Polytechnic Institute’s Colleges of Nanoscale Science and Engineering (SUNY Poly CNSE) and is transitioning to wafer patterning. This milestone is a significant achievement in accelerating development of the next generation of computer chips....
Continue Reading