Nikon Precision | USA & Europe | Nikon Global Site

Deep UV

Deep UV

As design rules for new processes become more challenging, Deep UV dry ArF and KrF scanners must deliver ultra-high productivity with overlay accuracy comparable to immersion systems, as well as exceptional system stability and matching capabilities. Nikon offers the industry-leading DUV NSR-S322F ArF and NSR-S220D KrF scanners, which utilize the well-established Streamlign platform to deliver world-class performance for critical non-immersion layers. The successful combination of the Stream Alignment and Five-Eye FIA systems with other advances enable DUV scanner throughput ≥ 250 wafers per hour. In addition, proven Bird’s Eye Control enables single machine overlay accuracy ≤ 2 nm for the S322F and down to 2.5 nm for the S220D, coupled with outstanding overlay stability.

The NSR-S322F and S220D can each be coupled with the Litho Booster Standalone Alignment Station. Litho Booster is a high speed, extremely accurate wafer pre-measurement module compatible with scanners within your manufacturing facility. With Litho Booster, shot-by-shot feed forward correction is possible, enabling compensation for processing effects including etching, annealing, CVD/PVD, and more. Litho Booster also provides an open platform for expanded overlay, autofocus, and process control solutions as well.

As design rules for new processes become more challenging, Deep UV dry ArF and KrF scanners must deliver ultra-high productivity with overlay accuracy comparable to immersion systems, as well as exceptional system stability and matching capabilities. Nikon offers the industry-leading DUV NSR-S322F ArF and NSR-S220D KrF scanners, which utilize the innovative Streamlign platform to deliver world-class performance for critical non-immersion layers. The successful combination of the Stream Alignment and Five-Eye FIA systems enables scanner throughput ≥ 230 wafers per hour. In addition, proven Bird’s Eye Control enables single machine overlay accuracy ≤ 2 nm for the S322F and ≤ 3 nm for the S220D, coupled with outstanding overlay stability.

The industry-leading Litho Booster Standalone Alignment Station is a high speed, extremely accurate wafer pre-measurement module compatible with scanners within your manufacturing facility. With Litho Booster, shot-by-shot feed forward correction is possible, enabling compensation for processing effects including etching, annealing, CVD/PVD, and more. Litho Booster also provides an open platform for expanded overlay, autofocus, and process control solutions as well.

NSR-S322F
NSR-S322F

Industry-leading scanner for dry ArF applications.

 

Nikon Products and Technology NSR-S220D Deep UV
NSR-S220D

Industry-leading scanner for KrF applications.

 

Alignment Stations
Litho Booster

Standalone Alignment Station delivering shot-by-shot feed forward corrections to maximize yield.