Nikon Precision | USA & Europe | Nikon Global Site

Nikon Completes Shipment of Immersion Scanners to All Major Semiconductor Manufacturing Regions of the World

Belmont, California – November 29, 2007 – Nikon Corporation has completed shipment of its NSR-S610C ArF immersion scanners to all of the five major regions of the world where leading-edge devices are manufactured. These areas include Japan, Taiwan, Korea, North America, and Europe. Immersion customers include memory and logic manufacturers, consisting of both existing Nikon equipment users as well as newly acquired customers. The NSR-S610C (NA = 1.30), the world’s first 45 nm-capable ArF immersion scanner, is targeted for mass production of 45 nm and smaller memory and 32 nm logic devices. The NSR-S610C was selected because of its early market introduction and Nikon’s proven ability to print immersion exposures with no immersion-induced defects or overlay matching problems.

“After our immersion success for 56 nm NAND applications, customer interest has been extremely high,” said Kazuo Ushida, President of the Nikon Precision Equipment Company.  “As a result, we were able to capitalize on opportunities with existing customers, as well as gain several new customers in 2007. The proliferation of the NSR-S610C is further evidence of our leadership in immersion technology.”

he NSR-S610C builds on Nikon’s immersion expertise. Proprietary Nikon Local Fill Technology is proven to eliminate scanner-induced immersion defects with no bubbles, water spots, or backside wafer contamination. This technology also eliminates evaporative cooling of the immersion fluid, providing a critical advantage in preventing immersion-related overlay problems. Nikon’s Tandem Stage utilizes two stages with different functions to increase throughput, optimize accuracy, and enhance long-term stability.

About Nikon
Since 1980, Nikon Corporation has been revolutionizing lithography with innovative products and technologies. The company is a worldwide leader in lithography equipment for the microelectronics manufacturing industry with more than 7,800 exposure systems installed worldwide. Nikon offers the most extensive selection of production-class steppers and scanners in the industry. These products serve the semiconductor, flat panel display (LCD) and thin-film magnetic head (TFH) industries. Nikon Precision Inc. provides service, training, applications and technical support, as well as sales and marketing for Nikon lithography equipment in North America. For more information about Nikon, access our web site at www.nikonprecision.com

Forward Looking Statements
This press release contains forward-looking statements as that term is defined in the Private Securities Reform Act of 1995, which are subject to known and unknown risks and uncertainties that could cause actual results to differ materially from those expressed or implied by such statements Such statements are subject to risks, uncertainties and changes in condition, particularly those related to industry requirements and other risks. The Company undertakes no obligation to update the information in this press release.

###

Contact:
Bernie Wood
Director of Marketing
Nikon Precision Inc.
(650) 413-8533 phone
bwood@nikon.com