Nikon Precision | USA & Europe | Nikon Global Site

By

Team-Nikon
BELMONT, Calif. –Dec. 1, 2003— Nikon Corporation announced today that it has completed capability studies on fundamental technologies related to immersion lithography. Semiconductor tool manufacturers have accelerated research and development to keep pace with increasingly faster and denser semiconductor devices. In the lithography field, plans are being put forward to use ArF exposure tools with...
BELMONT, Calif. –Nov. 19, 2003–Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF130, a scan-field i-line stepper with high throughput and extremely low cost of ownership. The system makes use of leading-edge lens technology to achieve the same wide exposure field (26×33 mm) as DUV scanners, making it ideal...
Belmont, Calif., July 7, 2003 -Nikon has shipped its first electron beam (EB) stepper, the NSR-EB1A, to Semiconductor Leading Edge Technologies Inc. (Selete) in Tsukuba City, Japan. The system shipped to Selete is the world’s first full field electron projection lithography (EPL) tool and will initially be used for advanced 65 nm development. Nikon has taken...
Belmont, Calif., July 8, 2003 -Targeted for mass production of advanced 80 nm devices and development of 65 nm devices, Nikon Corporation has introduced the NSR-S307E. This scanner is the next generation in Nikon’s successful ArF scanner series. The system combines the world’s highest NA (0.85) projection lens with a newly developed, high productivity platform, improving...
Belmont, Calif., July 11, 2003 – Nikon Corporation announced today that it has obtained a significant order for the NPS3301 300 mm CMP system that will be shipped to a leading development facility in Japan. The system, which will enable the customer to focus on advanced 65nm copper/low k interconnect polishing, will ship in July 2003....
Tokyo, Japan, July 7, 2003 – Nikon Corporation today announced its agreement with Tokyo Electron Limited (TEL) to engage in joint development of liquid immersion exposure technology as it relates to exposure systems. Under the agreement, Nikon, with its leading-edge exposure technologies, and TEL, with its large market share for resist coater/developer and advanced technologies, will...
Belmont, Calif., May 12, 2003 – Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America announced on 12 May 2003 EDT, that it has filed a Notice of Appeal to the Court of Appeals for the Federal Circuit of the U.S. International Trade Commission...
BELMONT, Calif.–(BUSINESS WIRE)– May 2, 2003 — Nikon Precision Inc. (NPI), the North American lithography subsidiary of Nikon Corporation, Japan, announced today that Bernie Wood has joined the company as Director of Marketing for United States Operations. Wood comes to Nikon Precision after having held a number of executive positions of increasing responsibility for several semiconductor...
BELMONT, Calif. March 17, 2003 — Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, announced on 17 March, 2003 EST that the U.S. International Trade Commission (“ITC”) has decided not to review the Initial Determination made by an Administrative Law Judge on 29...
BELMONT, Calif. Effective March 1, 2003 — Nikon Corporation of Japan has promoted both Mr. Geoff Wild and Dr. Gil Varnell to CEO of their respective Nikon companies, NPI (Nikon Precision Inc.) and NRCA (Nikon Research Corporation of America) Both NRCA and NPI are headquartered in Belmont, CA. Geoff Wild came to NPI as President and...
1 3 4 5 6 7