Nikon Precision | USA & Europe | Nikon Global Site

By

Team-Nikon
BELMONT, Calif.–(BUSINESS WIRE)–Dec. 21, 2001–Nikon Corporation of Japan announced today that it and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, have filed a complaint in the U.S. International Trade Commission against ASM Lithography Holding, N.V., ASM Lithography B.V., both Dutch corporations, and ASM Lithography, Inc., a...
June 27, 2001 Nikon Introduces Ultra-High NA ArF Scanner for 100 nm Design Rules. System Achieves New Levels of Throughput and Accuracy Belmont, California, June 27, 2001 -Nikon Precision Inc. (NPI) has announced its fourth-generation lens-based 193 nm scanner designed to meet the stringent manufacturing requirements for 100 nm semiconductor devices. Called the S306C, the new system...
Belmont, California, January 18, 2001 -Nikon Precision Inc. (NPI) has released its first application software product that helps improve yield for imaging tools by identifying where die are likely to fail. The software, called Hot Spot Detection, runs on the improved NEST™ (Nikon Enhanced System Technology) Manager operating system for the company’s model 6-10 i- line...
NSR-S205C Exposure Tool with 0.75 Numerical Aperture Projection Lens Is Capable of Producing 0.13-micron Features SAN FRANCISCO, Calif., July 10, 2000 – Nikon, the leading supplier of imaging tools for the semiconductor industry, today announced that the company is accepting orders for its fifth-generation scanner with an ultra-high resolution 0.75 numerical aperture lens. Utilizing a krypton...
Companies to collaborate on 248 nm and 193 nm imaging systems optimized for generating sub-0.18 semiconductor device features San Jose, California – July 5, 2000 – Numerical Technologies, Inc. (NASDAQ: NMTC) and Nikon Precision, Inc. (NPI) have entered into a joint development agreement designed to extend the life of available optical imaging systems. The companies will...
Multi-unit Orders Include Advanced ArF Scanners that Support 130nm Design Rules Belmont, California – February 15, 2000 – Nikon Precision Inc. announced that it has received three multi-unit orders valued at $233 million from three major US semiconductor manufacturers, including a $100 million multi-unit order for Nikon’s advanced ArF scanner, the first unit of which will...
New System Creates the Most Effective Mix and Match with the KrF Excimer Laser Scanner January 6, 2000 – Belmont, California – Nikon Precision Inc. announced today that it will begin accepting orders for the new NSR-SF100 i-line stepper this month. The new stepper achieves resolutions of 0.4 microns or smaller with a 1:4 reduction ratio...
1 5 6 7