Nikon Precision | USA & Europe | Nikon Global Site

By

Team-Nikon
Belmont, Calif., July 8, 2003 -Nikon Corporation launched its third new system in less than a week, the NSR-S207D. Targeted at 110 nm devices that are ramping into volume production, the system is the 7th generation of Nikon’s successful KrF scanner series. The system combines a high NA (0.82) projection lens with a newly developed, high...
BELMONT, Calif. and TOKYO, Japan–Jan. 29, 2003–Nikon’s corporate Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, announced on 29 January, 2003 EST that an Administrative Law Judge with the U.S. International Trade Commission (“ITC”) has issued his Initial Determination in the ITC...
BELMONT, Calif. and TOKYO, Japan–Aug. 26, 2002 – Nikon’s corporate objective is to provide the leading products to customers in the semiconductor industry through fair competition in the marketplace. Such fair competition is based on the premise that each competitor respects the intellectual property rights of the other. Because Nikon believes that ASML did not live...
Tokyo, Japan – Oct 18, 2002 – Nikon Corporation and its wholly-owned subsidiary located in Belmont, California, Nikon Precision Inc. (“Nikon”) have filed a patent infringement action against ASML Netherlands B.V., a Dutch corporation and ASM Lithography, Inc., a U.S. company (“ASML”) in the United States District Court for the Northern District of California, asserting infringement...
High Throughput Scan-Field Stepper Mix-and-Matches to DUV Scanners July 12, 2002 – To create the most cost-effective tool for sub-critical layers of next-generation DRAMs and MPUs, Nikon Corporation has developed a new i-line scan-field stepper, the NSR-SF120. The NSR-SF120 is designed to realize the superior cost performance of a mix-and-match strategy with Nikon DUV scanners, which...
High-throughput Scanner Featuring Ultra-High N.A. Lens Targets DRAMs July 12, 2002 – Targeted at mass production of cutting-edge devices with 110-nm design rules, Nikon Corporation introduced the NSR-S206D. This scanner is the 6th generation in Nikon’s successful KrF scanner series. The NSR-S206D is equipped with the industry’s highest N.A KrF (248nm) lens and provides high throughput...
Intel to Invest Y10B in Nikon’s Advanced Optical Lithography Program Tokyo, Japan – May 28, 2002 — Nikon announced today that the company is issuing a new group of securities in the form of convertible bonds. Intel has agreed to purchase a concurrent offering of these bonds in the amount of Y10B (approximately US$80 M). Assuming...
Mix-and-Match Strategy Using Nikon’s NSR-305 and NSR- 204 Scanners Produced Results Required for Leading-Edge Logic Production BELMONT, Calif, – March 20, 2002 — Nikon Precision Inc. announced that a combination of its NSR-305B ArF and NSR-204B KrF scanners along with its NSR-SF100 i-line steppers were used by a leading semiconductor manufacturer to produce the industry’s first...
JSR selects Nikon S305C and S306B for ArF Resist Development Belmont, Calif., March 1, 2002 — Nikon Precision Inc. (NPI), the North American lithography subsidiary of Nikon Corporation, Japan, and JSR Microelectronics, USA announced today that they have reached agreement on JSR’s purchase of two Nikon ArF Scanners. One of the units, the S305C, will be...
NPI selects past CEO of TheSupply to lead North American subsidiary Belmont, Calif., February 1, 2002 — Nikon Precision Inc. (NPI), the North American lithography subsidiary of Nikon Corporation, Japan, announced today that Geoff Wild has joined the company as President and Chief Operating Officer of Nikon Precision. Additionally, Mr. Wild joins NPI’s Board of Directors....
1 4 5 6 7