Nikon Precision | USA & Europe | Nikon Global Site

By

Team-Nikon
Advanced Polarization Control Improves Image Contrast by 20 Percent Belmont, California – November 20, 2004 – Nikon Corporation announced it has developed POLANO*, the industry’s first advanced polarized illumination system for IC lithography systems. POLANO improves image contrast by 20 percent, resulting in superior resolution, depth of focus, and critical dimension (CD) uniformity. Polarized illumination control...
Nikon Announces New KrF Scanner with 25% Higher Productivity System will be used for manufacturing of 110 nm or smaller devices Belmont, California – November 11, 2004 – Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-S208D, an advanced KrF scanner with 25% higher throughput and extremely low cost of...
TOKYO, Japan, VELDHOVEN, the Netherlands and OBERKOCHEN, Germany – September 29, 2004 – Nikon Corporation (Nikon), ASML Holding N.V. (ASML) and Carl Zeiss SMT AG (SMT) have agreed to comprehensive settlement of legal proceedings and cross-license of patents related to lithography equipment used to manufacture semiconductor devices. The Memorandum of Understanding is a binding agreement that...
TOKYO, Japan and VELDHOVEN, the Netherlands, September 2, 2004 -Nikon Corporation (Nikon) and ASML Holding NV (ASML) today announced their mutual agreement to request stays of the legal and administrative proceedings in each jurisdiction in which they have been pursuing claims related to their intellectual property dispute, including proceedings in Asia and the United States. The...
SAN JOSE, California, March 22, 2004 – Nikon Corporation was named a recipient of Intel Corporation’s Preferred Quality Supplier (PQS) award for outstanding performance in providing products and services deemed essential to Intel’s success. The company was awarded for its efforts in supplying Intel with lithography exposure equipment and measuring instruments. Nikon Corporation and 28 additional...
System will be used for 65 nm or smaller devices Belmont, Calif., February 23, 2004 – Nikon Corporation introduced today the NSR-S308F, an advanced ArF scanner with the world’s highest NA projection lens of 0.92, targeted at mass production of 65 nm and smaller devices. The system combines the world’s highest NA projection lens with a...
Belmont, Calif., February 19, 2004 – Nikon Corporation announced today that it has accelerated its development plans for ArF immersion and will ship a system with a projection lens NA of greater than or equal to 1.0 in the second half of 2005. Nikon’s previous plan was to market an immersion system with an NA of...
Belmont, Calif., February 04, 2004 – Nikon Corporation announced today that it will build a new worldwide training center to meet the growing demand for training from English-speaking countries around the world. With the largest installed base of advanced lithography equipment in the world, and a significant increase in unit shipments in 2003, Nikon is planning...
Belmont, Calif., January 14, 2004 – Nikon Corporation announced today that it sees no major hurdles to block the development of Extreme Ultraviolet Lithography (EUVL) systems and will start full-scale product development in 2004, with the planned launch for the system by 2006. The tool is targeted at mass production of 45 nm DRAMs and 32...
Product Enhancements improve productivity, overlay, image quality, and dose control Belmont, California, July 8, 2004 -In a continued effort to reduce the cost of ownership for its customers, Nikon Precision Inc. introduced today a suite of new options designed to enhance the performance of existing NSR steppers and scanners. This suite includes 10 new individual enhancement...
1 2 3 4 5 6 7