Nikon Precision | USA & Europe | Nikon Global Site

By

Team-Nikon
Belmont, California – February 28, 2007 – Nikon Corporation has shipped the world’s first immersion lithography system capable of 45 nm production. The NSR-S610C, an ArF immersion scanner with the industry’s highest projection lens NA of 1.30, shipped to a major IC manufacturer. The system is targeted for mass production of 45 nm devices and can...
Belmont, California – February 27, 2007 – Nikon Corporation announced today a Joint Development Program with CEA-Leti, one of Europe’s leading microelectronics research centers focused on optical lithography development for technology beyond 45 nm. The work will examine the potential of Double Exposure and Double Patterning for 32 nm semiconductor devices, and will utilize a leading-edge...
Major Taiwanese Memory Manufacturer Selects Nikon BELMONT, California — December 14, 2006 — Nikon Precision announced its NSR-S610C ArF immersion scanner has been selected by Powerchip Semiconductor Corporation as the leading edge tool for its 50 nm NAND flash process. The tool will be installed in Powerchip’s fab in Hsinchu, Taiwan. This system was selected over...
New Stepper Reduces Costs for Sub-Critical Layers BELMONT, California — November 20, 2006 — Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF150, a scan field i-line stepper with ultra high throughput and extremely low cost of ownership. Throughput has been increased by more than 50% to 180 wafers per...
Improved OPC model accuracy and reduced time to market are key benefits BELMONT, California., October 10, 2006 — Nikon Corporation, a leading supplier of lithography equipment for microelectronics manufacturing, and Brion Technologies Inc., the leader in Lithography-Driven Design & Manufacturing™, today announced a partnership to deliver lithography enabled DFM applications aimed at providing globally optimized...
Solutions target improved lithography modeling accuracy, reduced time to silicon, and improved manufacturing yield BELMONT, Calif., – September 20, 2006 – Nikon Corporation, a leading supplier of lithography equipment for microelectronics manufacturing, and Synopsys, Inc. (Nasdaq:SNPS), a world leader in semiconductor design software today announced that they are collaborating on the development and delivery of...
Immersion system with 1.30 NA lens will ship by end of 2006 Belmont, California, July 6, 2006 – Nikon Corporation has developed the world’s first lithography system designed to meet the semiconductor industry’s requirements for mass production of 45 nm memory and of 32 nm logic devices. The NSR-S610C, an ArF immersion scanner, includes an advanced...
Major IC Manufacturer Selects Nikon for 55 nm Production Belmont, California, February 16, 2006 – Nikon Corporation has shipped the world’s first production immersion lithography system. The NSR-S609B, an ArF immersion scanner with the industry’s first hyper-NA projection lens of NA 1.07, shipped in January to a major IC manufacturer. The system is targeted for mass...
High Throughput Stepper Reduces Costs for Non Critical Layers Belmont, California, July 7, 2005 – Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF140, a scan-field i-line stepper with high throughput and extremely low cost of ownership. The system makes use of leading-edge lens technology to achieve the same wide...
New Tandem Stage system enables 45 nm process development Belmont, California – June 30, 2005 – Nikon Corporation has developed the world’s first lithography system with a hyper NA lens. The NSR-S609B, an ArF immersion scanner with the industry’s highest NA projection lens of 1.07, is targeted at mass production of 55 nm and development of...
1 2 3 4 5 7