Nikon Precision | USA & Europe | Nikon Global Site

Press Release Archives

Press Release Archives

Tokyo, Japan – November 26, 2014 – Nikon Corporation announced the NSR-S322F scanner delivering superior performance and productivity for the most challenging dry ArF layers. The S322F leverages the proven Streamlign Platform, which is already employed globally and delivering optimal cost of ownership, to satisfy aggressive dry 193 nm requirements. The successful combination of the Stream Alignment and...
Continue Reading
April 11, 2014 – Nikon Corporation (Makoto Kimura, President) has been recognized as one of 18 companies receiving Intel Corporation’s Preferred Quality Supplier (PQS) award for their performance in 2013. This supplier has demonstrated industry-leading commitment across all critical focus areas on which they are measured: quality, cost, availability, technology, customer service, labor and ethics systems...
Continue Reading
Belmont, California – April 1, 2014 – Mr. Thomas Novak, CEO and President of Nikon Research Corporation of American (NRCA), a subsidiary of Nikon Corporation, announced his retirement from the company. Mr. Novak is succeeded by Dr. Donis Flagello, who will assume the role of NRCA President, CEO and COO effective April 1, 2014. Tom Novak...
Continue Reading
Tokyo, Japan – February 20, 2014 – Nikon Corporation introduces the NSR-S630D ArF immersion scanner, delivering world-class performance and productivity for 10 nm manufacturing and beyond. The S630D builds on the advanced Streamlign platform to deliver ground-breaking solutions to extend 193 nm immersion lithography. Enhancements to reticle positioning accuracy and air/thermal management provide unprecedented mix-and-match overlay (MMO) ≤ 2.5...
Continue Reading
March 5 , 2009 – Nikon Corporation (Michio Kariya, President)  has received Intel Corporation’s prestigious Supplier Continuous Quality Improvement (SCQI) award recognizing world-class, outstanding performance in 2008. This award is Intel’s highest honor for its suppliers, acknowledging extraordinary commitment to quality and exceptional performance for providing lithography scanners for technology development and high volume manufacturing deemed...
Continue Reading
Production Capacity Expansion for ArF Immersion Scanners August 6 , 2008 – Nikon Corporation (Michio Kariya, President) will build two new buildings, one in its Kumagaya Plant and one at its subsidiary, Tochigi Nikon Precision Co., Ltd., enhancing production capacity to meet the rapidly growing demand for its ArF immersion scanners. The Kumagaya Plant and Tochigi...
Continue Reading
BELMONT, California, March 18, 2008 – Nikon Corporation was named a recipient of Intel Corporation’s 2007 Preferred Quality Supplier (PQS) award for outstanding performance in providing products and services deemed essential to Intel’s success. The company is awarded for its efforts supplying Intel with lithography scanners for TD and HVM. Nikon Corporation and 34 additional PQS...
Continue Reading
Low Risk Solution for 32 nm Process Development Belmont, California – February 20, 2008 – Nikon Corporation has announced they will provide an immersion scanner for Double Patterning, based on the successful NSR-S610C platform, to their customers in the 4th quarter of 2008.  By enhancing the performance of the mature NSR-S610C ArF immersion scanner to address...
Continue Reading
Tokyo, Japan – December 3, 2007 – Nikon Corporation (Michio Kariya, President) and KLA-Tencor, the world leader in semiconductor wafer inspection/metrology tools (President & COO John Kispert: Nasdaq GS: KLAC) today announced that they will launch Scanner Match Maker (SMM), a system for improving overlay accuracy, in the First Quarter of 2008. The SMM system successfully...
Continue Reading
New Stepper Reduces Costs for Sub-Critical Layers Belmont, California – November 29, 2007 – Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF155, a scan field i-line stepper with ultra-high throughput and the lowest cost of ownership. Throughput has been increased to 200 wafers per hour or more, with overlay...
Continue Reading
Belmont, California – November 29, 2007 – Nikon Corporation has completed shipment of its NSR-S610C ArF immersion scanners to all of the five major regions of the world where leading-edge devices are manufactured. These areas include Japan, Taiwan, Korea, North America, and Europe. Immersion customers include memory and logic manufacturers, consisting of both existing Nikon equipment...
Continue Reading
Belmont, California – October 18, 2007 – At the 4th International Symposium on Immersion Lithography held in Keystone, Colorado last week, Nikon Corporation and Toshiba Corporation announced 43 nm NAND Flash production would begin using the Nikon NSR-S610C ArF immersion scanner. The NSR-S610C (NA = 1.30), the world’s first 45 nm-capable immersion scanner, is targeted for...
Continue Reading
Embedded Scanner Parameter Module Delivers Improved OPC Accuracy, Enhanced Model Predictability and Reduced Time to Silicon for Mutual Customers Belmont, Calif. and Mountain View, Calif. – September 18, 2007 – Nikon Corporation, a leading supplier of lithography equipment for microelectronics manufacturing, and Synopsys, Inc. (Nasdaq: SNPS), a world leader in semiconductor design and manufacturing software, today announced...
Continue Reading
Nikon completes new suite of lithography solutions with two new scanners Belmont, California – July 11, 2007 – With a focus on leading-edge technology and high productivity, Nikon continues to introduce new lithography solutions to market at a rapid pace with two new DUV scanners – the NSR-S310F ArF scanner and the NSR-S210D KrF scanner. Both...
Continue Reading
Belmont, California – February 28, 2007 – Nikon Corporation has shipped the world’s first immersion lithography system capable of 45 nm production. The NSR-S610C, an ArF immersion scanner with the industry’s highest projection lens NA of 1.30, shipped to a major IC manufacturer. The system is targeted for mass production of 45 nm devices and can...
Continue Reading
Belmont, California – February 27, 2007 – Nikon Corporation announced today a Joint Development Program with CEA-Leti, one of Europe’s leading microelectronics research centers focused on optical lithography development for technology beyond 45 nm. The work will examine the potential of Double Exposure and Double Patterning for 32 nm semiconductor devices, and will utilize a leading-edge...
Continue Reading
Major Taiwanese Memory Manufacturer Selects Nikon BELMONT, California — December 14, 2006 — Nikon Precision announced its NSR-S610C ArF immersion scanner has been selected by Powerchip Semiconductor Corporation as the leading edge tool for its 50 nm NAND flash process. The tool will be installed in Powerchip’s fab in Hsinchu, Taiwan. This system was selected over...
Continue Reading
New Stepper Reduces Costs for Sub-Critical Layers BELMONT, California — November 20, 2006 — Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF150, a scan field i-line stepper with ultra high throughput and extremely low cost of ownership. Throughput has been increased by more than 50% to 180 wafers per...
Continue Reading
Improved OPC model accuracy and reduced time to market are key benefits BELMONT, California., October 10, 2006 — Nikon Corporation, a leading supplier of lithography equipment for microelectronics manufacturing, and Brion Technologies Inc., the leader in Lithography-Driven Design & Manufacturing™, today announced a partnership to deliver lithography enabled DFM applications aimed at providing globally optimized...
Continue Reading
Solutions target improved lithography modeling accuracy, reduced time to silicon, and improved manufacturing yield BELMONT, Calif., – September 20, 2006 – Nikon Corporation, a leading supplier of lithography equipment for microelectronics manufacturing, and Synopsys, Inc. (Nasdaq:SNPS), a world leader in semiconductor design software today announced that they are collaborating on the development and delivery of...
Continue Reading
Immersion system with 1.30 NA lens will ship by end of 2006 Belmont, California, July 6, 2006 – Nikon Corporation has developed the world’s first lithography system designed to meet the semiconductor industry’s requirements for mass production of 45 nm memory and of 32 nm logic devices. The NSR-S610C, an ArF immersion scanner, includes an advanced...
Continue Reading
Major IC Manufacturer Selects Nikon for 55 nm Production Belmont, California, February 16, 2006 – Nikon Corporation has shipped the world’s first production immersion lithography system. The NSR-S609B, an ArF immersion scanner with the industry’s first hyper-NA projection lens of NA 1.07, shipped in January to a major IC manufacturer. The system is targeted for mass...
Continue Reading
High Throughput Stepper Reduces Costs for Non Critical Layers Belmont, California, July 7, 2005 – Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF140, a scan-field i-line stepper with high throughput and extremely low cost of ownership. The system makes use of leading-edge lens technology to achieve the same wide...
Continue Reading
New Tandem Stage system enables 45 nm process development Belmont, California – June 30, 2005 – Nikon Corporation has developed the world’s first lithography system with a hyper NA lens. The NSR-S609B, an ArF immersion scanner with the industry’s highest NA projection lens of 1.07, is targeted at mass production of 55 nm and development of...
Continue Reading
Advanced Polarization Control Improves Image Contrast by 20 Percent Belmont, California – November 20, 2004 – Nikon Corporation announced it has developed POLANO*, the industry’s first advanced polarized illumination system for IC lithography systems. POLANO improves image contrast by 20 percent, resulting in superior resolution, depth of focus, and critical dimension (CD) uniformity. Polarized illumination control...
Continue Reading
Nikon Announces New KrF Scanner with 25% Higher Productivity System will be used for manufacturing of 110 nm or smaller devices Belmont, California – November 11, 2004 – Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-S208D, an advanced KrF scanner with 25% higher throughput and extremely low cost of...
Continue Reading
TOKYO, Japan, VELDHOVEN, the Netherlands and OBERKOCHEN, Germany – September 29, 2004 – Nikon Corporation (Nikon), ASML Holding N.V. (ASML) and Carl Zeiss SMT AG (SMT) have agreed to comprehensive settlement of legal proceedings and cross-license of patents related to lithography equipment used to manufacture semiconductor devices. The Memorandum of Understanding is a binding agreement that...
Continue Reading
TOKYO, Japan and VELDHOVEN, the Netherlands, September 2, 2004 -Nikon Corporation (Nikon) and ASML Holding NV (ASML) today announced their mutual agreement to request stays of the legal and administrative proceedings in each jurisdiction in which they have been pursuing claims related to their intellectual property dispute, including proceedings in Asia and the United States. The...
Continue Reading
SAN JOSE, California, March 22, 2004 – Nikon Corporation was named a recipient of Intel Corporation’s Preferred Quality Supplier (PQS) award for outstanding performance in providing products and services deemed essential to Intel’s success. The company was awarded for its efforts in supplying Intel with lithography exposure equipment and measuring instruments. Nikon Corporation and 28 additional...
Continue Reading
System will be used for 65 nm or smaller devices Belmont, Calif., February 23, 2004 – Nikon Corporation introduced today the NSR-S308F, an advanced ArF scanner with the world’s highest NA projection lens of 0.92, targeted at mass production of 65 nm and smaller devices. The system combines the world’s highest NA projection lens with a...
Continue Reading
Belmont, Calif., February 19, 2004 – Nikon Corporation announced today that it has accelerated its development plans for ArF immersion and will ship a system with a projection lens NA of greater than or equal to 1.0 in the second half of 2005. Nikon’s previous plan was to market an immersion system with an NA of...
Continue Reading
Belmont, Calif., February 04, 2004 – Nikon Corporation announced today that it will build a new worldwide training center to meet the growing demand for training from English-speaking countries around the world. With the largest installed base of advanced lithography equipment in the world, and a significant increase in unit shipments in 2003, Nikon is planning...
Continue Reading
Belmont, Calif., January 14, 2004 – Nikon Corporation announced today that it sees no major hurdles to block the development of Extreme Ultraviolet Lithography (EUVL) systems and will start full-scale product development in 2004, with the planned launch for the system by 2006. The tool is targeted at mass production of 45 nm DRAMs and 32...
Continue Reading
Product Enhancements improve productivity, overlay, image quality, and dose control Belmont, California, July 8, 2004 -In a continued effort to reduce the cost of ownership for its customers, Nikon Precision Inc. introduced today a suite of new options designed to enhance the performance of existing NSR steppers and scanners. This suite includes 10 new individual enhancement...
Continue Reading
BELMONT, Calif. –Dec. 1, 2003— Nikon Corporation announced today that it has completed capability studies on fundamental technologies related to immersion lithography. Semiconductor tool manufacturers have accelerated research and development to keep pace with increasingly faster and denser semiconductor devices. In the lithography field, plans are being put forward to use ArF exposure tools with...
Continue Reading
BELMONT, Calif. –Nov. 19, 2003–Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF130, a scan-field i-line stepper with high throughput and extremely low cost of ownership. The system makes use of leading-edge lens technology to achieve the same wide exposure field (26×33 mm) as DUV scanners, making it ideal...
Continue Reading
Belmont, Calif., July 7, 2003 -Nikon has shipped its first electron beam (EB) stepper, the NSR-EB1A, to Semiconductor Leading Edge Technologies Inc. (Selete) in Tsukuba City, Japan. The system shipped to Selete is the world’s first full field electron projection lithography (EPL) tool and will initially be used for advanced 65 nm development. Nikon has taken...
Continue Reading
Belmont, Calif., July 8, 2003 -Targeted for mass production of advanced 80 nm devices and development of 65 nm devices, Nikon Corporation has introduced the NSR-S307E. This scanner is the next generation in Nikon’s successful ArF scanner series. The system combines the world’s highest NA (0.85) projection lens with a newly developed, high productivity platform, improving...
Continue Reading
Belmont, Calif., July 11, 2003 – Nikon Corporation announced today that it has obtained a significant order for the NPS3301 300 mm CMP system that will be shipped to a leading development facility in Japan. The system, which will enable the customer to focus on advanced 65nm copper/low k interconnect polishing, will ship in July 2003....
Continue Reading
Tokyo, Japan, July 7, 2003 – Nikon Corporation today announced its agreement with Tokyo Electron Limited (TEL) to engage in joint development of liquid immersion exposure technology as it relates to exposure systems. Under the agreement, Nikon, with its leading-edge exposure technologies, and TEL, with its large market share for resist coater/developer and advanced technologies, will...
Continue Reading
Belmont, Calif., May 12, 2003 – Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America announced on 12 May 2003 EDT, that it has filed a Notice of Appeal to the Court of Appeals for the Federal Circuit of the U.S. International Trade Commission...
Continue Reading
BELMONT, Calif.–(BUSINESS WIRE)– May 2, 2003 — Nikon Precision Inc. (NPI), the North American lithography subsidiary of Nikon Corporation, Japan, announced today that Bernie Wood has joined the company as Director of Marketing for United States Operations. Wood comes to Nikon Precision after having held a number of executive positions of increasing responsibility for several semiconductor...
Continue Reading
BELMONT, Calif. March 17, 2003 — Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, announced on 17 March, 2003 EST that the U.S. International Trade Commission (“ITC”) has decided not to review the Initial Determination made by an Administrative Law Judge on 29...
Continue Reading
BELMONT, Calif. Effective March 1, 2003 — Nikon Corporation of Japan has promoted both Mr. Geoff Wild and Dr. Gil Varnell to CEO of their respective Nikon companies, NPI (Nikon Precision Inc.) and NRCA (Nikon Research Corporation of America) Both NRCA and NPI are headquartered in Belmont, CA. Geoff Wild came to NPI as President and...
Continue Reading
Belmont, Calif., July 8, 2003 -Nikon Corporation launched its third new system in less than a week, the NSR-S207D. Targeted at 110 nm devices that are ramping into volume production, the system is the 7th generation of Nikon’s successful KrF scanner series. The system combines a high NA (0.82) projection lens with a newly developed, high...
Continue Reading
BELMONT, Calif. and TOKYO, Japan–Jan. 29, 2003–Nikon’s corporate Nikon Corporation of Japan and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, announced on 29 January, 2003 EST that an Administrative Law Judge with the U.S. International Trade Commission (“ITC”) has issued his Initial Determination in the ITC...
Continue Reading
BELMONT, Calif. and TOKYO, Japan–Aug. 26, 2002 – Nikon’s corporate objective is to provide the leading products to customers in the semiconductor industry through fair competition in the marketplace. Such fair competition is based on the premise that each competitor respects the intellectual property rights of the other. Because Nikon believes that ASML did not live...
Continue Reading
Tokyo, Japan – Oct 18, 2002 – Nikon Corporation and its wholly-owned subsidiary located in Belmont, California, Nikon Precision Inc. (“Nikon”) have filed a patent infringement action against ASML Netherlands B.V., a Dutch corporation and ASM Lithography, Inc., a U.S. company (“ASML”) in the United States District Court for the Northern District of California, asserting infringement...
Continue Reading
High Throughput Scan-Field Stepper Mix-and-Matches to DUV Scanners July 12, 2002 – To create the most cost-effective tool for sub-critical layers of next-generation DRAMs and MPUs, Nikon Corporation has developed a new i-line scan-field stepper, the NSR-SF120. The NSR-SF120 is designed to realize the superior cost performance of a mix-and-match strategy with Nikon DUV scanners, which...
Continue Reading
High-throughput Scanner Featuring Ultra-High N.A. Lens Targets DRAMs July 12, 2002 – Targeted at mass production of cutting-edge devices with 110-nm design rules, Nikon Corporation introduced the NSR-S206D. This scanner is the 6th generation in Nikon’s successful KrF scanner series. The NSR-S206D is equipped with the industry’s highest N.A KrF (248nm) lens and provides high throughput...
Continue Reading
Intel to Invest Y10B in Nikon’s Advanced Optical Lithography Program Tokyo, Japan – May 28, 2002 — Nikon announced today that the company is issuing a new group of securities in the form of convertible bonds. Intel has agreed to purchase a concurrent offering of these bonds in the amount of Y10B (approximately US$80 M). Assuming...
Continue Reading
Mix-and-Match Strategy Using Nikon’s NSR-305 and NSR- 204 Scanners Produced Results Required for Leading-Edge Logic Production BELMONT, Calif, – March 20, 2002 — Nikon Precision Inc. announced that a combination of its NSR-305B ArF and NSR-204B KrF scanners along with its NSR-SF100 i-line steppers were used by a leading semiconductor manufacturer to produce the industry’s first...
Continue Reading
JSR selects Nikon S305C and S306B for ArF Resist Development Belmont, Calif., March 1, 2002 — Nikon Precision Inc. (NPI), the North American lithography subsidiary of Nikon Corporation, Japan, and JSR Microelectronics, USA announced today that they have reached agreement on JSR’s purchase of two Nikon ArF Scanners. One of the units, the S305C, will be...
Continue Reading
NPI selects past CEO of TheSupply to lead North American subsidiary Belmont, Calif., February 1, 2002 — Nikon Precision Inc. (NPI), the North American lithography subsidiary of Nikon Corporation, Japan, announced today that Geoff Wild has joined the company as President and Chief Operating Officer of Nikon Precision. Additionally, Mr. Wild joins NPI’s Board of Directors....
Continue Reading
BELMONT, Calif.–(BUSINESS WIRE)–Dec. 21, 2001–Nikon Corporation of Japan announced today that it and its wholly-owned subsidiaries located in Belmont, California, Nikon Precision Inc. and Nikon Research Corporation of America, have filed a complaint in the U.S. International Trade Commission against ASM Lithography Holding, N.V., ASM Lithography B.V., both Dutch corporations, and ASM Lithography, Inc., a...
Continue Reading
June 27, 2001 Nikon Introduces Ultra-High NA ArF Scanner for 100 nm Design Rules. System Achieves New Levels of Throughput and Accuracy Belmont, California, June 27, 2001 -Nikon Precision Inc. (NPI) has announced its fourth-generation lens-based 193 nm scanner designed to meet the stringent manufacturing requirements for 100 nm semiconductor devices. Called the S306C, the new system...
Continue Reading
Belmont, California, January 18, 2001 -Nikon Precision Inc. (NPI) has released its first application software product that helps improve yield for imaging tools by identifying where die are likely to fail. The software, called Hot Spot Detection, runs on the improved NEST™ (Nikon Enhanced System Technology) Manager operating system for the company’s model 6-10 i- line...
Continue Reading
NSR-S205C Exposure Tool with 0.75 Numerical Aperture Projection Lens Is Capable of Producing 0.13-micron Features SAN FRANCISCO, Calif., July 10, 2000 – Nikon, the leading supplier of imaging tools for the semiconductor industry, today announced that the company is accepting orders for its fifth-generation scanner with an ultra-high resolution 0.75 numerical aperture lens. Utilizing a krypton...
Continue Reading
Companies to collaborate on 248 nm and 193 nm imaging systems optimized for generating sub-0.18 semiconductor device features San Jose, California – July 5, 2000 – Numerical Technologies, Inc. (NASDAQ: NMTC) and Nikon Precision, Inc. (NPI) have entered into a joint development agreement designed to extend the life of available optical imaging systems. The companies will...
Continue Reading
Multi-unit Orders Include Advanced ArF Scanners that Support 130nm Design Rules Belmont, California – February 15, 2000 – Nikon Precision Inc. announced that it has received three multi-unit orders valued at $233 million from three major US semiconductor manufacturers, including a $100 million multi-unit order for Nikon’s advanced ArF scanner, the first unit of which will...
Continue Reading
New System Creates the Most Effective Mix and Match with the KrF Excimer Laser Scanner January 6, 2000 – Belmont, California – Nikon Precision Inc. announced today that it will begin accepting orders for the new NSR-SF100 i-line stepper this month. The new stepper achieves resolutions of 0.4 microns or smaller with a 1:4 reduction ratio...
Continue Reading