Nikon Precision | USA & Europe | Nikon Global Site

By

Team-Nikon
March 5 , 2009 – Nikon Corporation (Michio Kariya, President)  has received Intel Corporation’s prestigious Supplier Continuous Quality Improvement (SCQI) award recognizing world-class, outstanding performance in 2008. This award is Intel’s highest honor for its suppliers, acknowledging extraordinary commitment to quality and exceptional performance for providing lithography scanners for technology development and high volume manufacturing deemed...
Production Capacity Expansion for ArF Immersion Scanners August 6 , 2008 – Nikon Corporation (Michio Kariya, President) will build two new buildings, one in its Kumagaya Plant and one at its subsidiary, Tochigi Nikon Precision Co., Ltd., enhancing production capacity to meet the rapidly growing demand for its ArF immersion scanners. The Kumagaya Plant and Tochigi...
BELMONT, California, March 18, 2008 – Nikon Corporation was named a recipient of Intel Corporation’s 2007 Preferred Quality Supplier (PQS) award for outstanding performance in providing products and services deemed essential to Intel’s success. The company is awarded for its efforts supplying Intel with lithography scanners for TD and HVM. Nikon Corporation and 34 additional PQS...
Low Risk Solution for 32 nm Process Development Belmont, California – February 20, 2008 – Nikon Corporation has announced they will provide an immersion scanner for Double Patterning, based on the successful NSR-S610C platform, to their customers in the 4th quarter of 2008.  By enhancing the performance of the mature NSR-S610C ArF immersion scanner to address...
Tokyo, Japan – December 3, 2007 – Nikon Corporation (Michio Kariya, President) and KLA-Tencor, the world leader in semiconductor wafer inspection/metrology tools (President & COO John Kispert: Nasdaq GS: KLAC) today announced that they will launch Scanner Match Maker (SMM), a system for improving overlay accuracy, in the First Quarter of 2008. The SMM system successfully...
New Stepper Reduces Costs for Sub-Critical Layers Belmont, California – November 29, 2007 – Nikon continues its focus on high productivity lithography solutions with the introduction of the NSR-SF155, a scan field i-line stepper with ultra-high throughput and the lowest cost of ownership. Throughput has been increased to 200 wafers per hour or more, with overlay...
Belmont, California – November 29, 2007 – Nikon Corporation has completed shipment of its NSR-S610C ArF immersion scanners to all of the five major regions of the world where leading-edge devices are manufactured. These areas include Japan, Taiwan, Korea, North America, and Europe. Immersion customers include memory and logic manufacturers, consisting of both existing Nikon equipment...
Belmont, California – October 18, 2007 – At the 4th International Symposium on Immersion Lithography held in Keystone, Colorado last week, Nikon Corporation and Toshiba Corporation announced 43 nm NAND Flash production would begin using the Nikon NSR-S610C ArF immersion scanner. The NSR-S610C (NA = 1.30), the world’s first 45 nm-capable immersion scanner, is targeted for...
Embedded Scanner Parameter Module Delivers Improved OPC Accuracy, Enhanced Model Predictability and Reduced Time to Silicon for Mutual Customers Belmont, Calif. and Mountain View, Calif. – September 18, 2007 – Nikon Corporation, a leading supplier of lithography equipment for microelectronics manufacturing, and Synopsys, Inc. (Nasdaq: SNPS), a world leader in semiconductor design and manufacturing software, today announced...
Nikon completes new suite of lithography solutions with two new scanners Belmont, California – July 11, 2007 – With a focus on leading-edge technology and high productivity, Nikon continues to introduce new lithography solutions to market at a rapid pace with two new DUV scanners – the NSR-S310F ArF scanner and the NSR-S210D KrF scanner. Both...
1 2 3 4 7