Nikon Precision | USA & Europe | Nikon Global Site

By

Team-Nikon
Today, Intel is recognizing 35 suppliers for their exceptional commitment to quality in 2018. These suppliers have collaborated with Intel to implement innovative process improvements and serve with the highest level of integrity, while providing superior products and services. Intel has three levels of supplier recognition: the Supplier Continuous Quality Improvement (SCQI) award, the Preferred...
Nikon Corporation (“Nikon”) today initiated a series of legal actions in the Netherlands, Germany and Japan intended to halt infringement of its intellectual property by Dutch semiconductor lithography system manufacturer ASML Holding N.V. and its related companies (“ASML”), and by ASML’s optical component supplier Carl Zeiss SMT GmbH (“Zeiss”). The basis of Nikon’s claim is...
TOKYO – Nikon Corporation (Kazuo Ushida, President) will celebrate the 100th anniversary of its establishment on July 25th of this year. Since its establishment (as Nippon Kogaku K.K.) in 1917, Nikon has provided the world with unique value based on opto-electronics and precision technologies. We at Nikon sincerely thank all stakeholders such as customers for...
Tokyo, Japan — February 18, 2016 — Nikon Corporation introduces the NSR-S631E ArF immersion scanner, ensuring world-class device patterning and optimum fab productivity to fully satisfy real-world 7 nm node requirements. The S631E builds upon industry-leading Streamlign platform technology to further extend crucial 193 nm immersion lithography. Scanner stage and alignment system innovations enable mix-and-match overlay to 2.3...
Nikon Corporation (Kazuo Ushida, President) announced the world’s first ever 450 mm immersion scanner, the Nikon NSR-S650D, has been installed at SUNY Polytechnic Institute’s Colleges of Nanoscale Science and Engineering (SUNY Poly CNSE) and is transitioning to wafer patterning. This milestone is a significant achievement in accelerating development of the next generation of computer chips....
Tokyo, Japan – March 4, 2015 – Nikon Corporation (Kazuo Ushida, President) has been recognized as one of 19 companies receiving Intel Corporation’s Preferred Quality Supplier (PQS) award for their performance in 2014. This supplier has demonstrated industry-leading commitment across all critical focus areas on which they are measured: quality, cost, availability, technology, customer service, labor...
Tokyo, Japan – November 26, 2014 – Nikon Corporation announced the NSR-S322F scanner delivering superior performance and productivity for the most challenging dry ArF layers. The S322F leverages the proven Streamlign Platform, which is already employed globally and delivering optimal cost of ownership, to satisfy aggressive dry 193 nm requirements. The successful combination of the Stream Alignment and...
April 11, 2014 – Nikon Corporation (Makoto Kimura, President) has been recognized as one of 18 companies receiving Intel Corporation’s Preferred Quality Supplier (PQS) award for their performance in 2013. This supplier has demonstrated industry-leading commitment across all critical focus areas on which they are measured: quality, cost, availability, technology, customer service, labor and ethics systems...
Belmont, California – April 1, 2014 – Mr. Thomas Novak, CEO and President of Nikon Research Corporation of American (NRCA), a subsidiary of Nikon Corporation, announced his retirement from the company. Mr. Novak is succeeded by Dr. Donis Flagello, who will assume the role of NRCA President, CEO and COO effective April 1, 2014. Tom Novak...
Tokyo, Japan – February 20, 2014 – Nikon Corporation introduces the NSR-S630D ArF immersion scanner, delivering world-class performance and productivity for 10 nm manufacturing and beyond. The S630D builds on the advanced Streamlign platform to deliver ground-breaking solutions to extend 193 nm immersion lithography. Enhancements to reticle positioning accuracy and air/thermal management provide unprecedented mix-and-match overlay (MMO) ≤ 2.5...
1 2 3 7